Design Compiler和STA分析介绍
1:59:01
描述
相关视频
本课程详细讲解了芯片设计过程中RTL到门级网表的实现,逻辑综合流程,STA分析以及时序分析中的crosstalk、noise、POCV相关的分析方法。旨在帮助设计人员掌握融合芯片Signoff设计流程,优化最佳功能,以业界最佳的全流程质量和最短的获得结果时间加速交付下一代芯片设计。
查看更多
查看更少
1:59:01
本课程详细讲解了芯片设计过程中RTL到门级网表的实现,逻辑综合流程,STA分析以及时序分析中的crosstalk、noise、POCV相关的分析方法。旨在帮助设计人员掌握融合芯片Signoff设计流程,优化最佳功能,以业界最佳的全流程质量和最短的获得结果时间加速交付下一代芯片设计。
来自三星的资深工程师Raghavendra Swami Sadhu总结了在设计高性能全芯片SoC面临的挑战。他讨论了Fusion Compiler的高容量和由DFT支持的RTL-to-GDSII流程以及它是如何提升模块布局。
15:34
1:25:32
本课程将会针对CDC的上述基础问题,进行一 一介绍,并给出了CDC结构检查的问题类别和主要步骤。
29:23
本课程主要介绍PCIe市场的发展趋势,及Synopsys PCIe DesignWare在不同应用领域的特性,重点介绍了针对最新PCIe Gen5,Synopsys提供的完整解决方案。
29:43
本课程系统介绍了新思科技物理实现工具-IC Compiler II,从图形界面、数据准备、自动布局布线流程和客户支持全面在线教学。
58:31
本课程主要基于VCS最新版,介绍VCS在质量、性能以及容量方面的提高,最先进的高级仿真技术(提高性能),以及新一代全方位的Verdi/debug手段。
1:04:03