Design Compiler和STA分析介绍

1:59:01

本课程详细讲解了芯片设计过程中RTL到门级网表的实现,逻辑综合流程,STA分析以及时序分析中的crosstalk、noise、POCV相关的分析方法。旨在帮助设计人员掌握融合芯片Signoff设计流程,优化最佳功能,以业界最佳的全流程质量和最短的获得结果时间加速交付下一代芯片设计。

查看更多
查看更少

分享本视频

嵌入