Fusion Compiler使CCD遍布RTL到GDSII设计流程

2:52

新思科技设计事业部工程副总裁Dr. Aiqun Cao分享了Fusion Compiler的统一物理综合技术以及通用的优化框架,实现全流程同步时钟分析。

查看更多
查看更少

分享本视频

嵌入