解决人工智能芯片设计面临的新挑战
25:12
描述
相关视频
本次课程将和大家分享人工智能领域最新的市场发展趋势和需求,从差异化算力、高带宽低能耗存储、异构运算、多die实时互联、覆盖缺陷和良率测试、以及信息安全等角度讲述人工智能芯片设计过程中所面临的挑战及应对策略,为人工智能芯片开发者系统的介绍了一整套经过广泛应用的IP解决方案产品及特征。
查看更多
查看更少
25:12
本次课程将和大家分享人工智能领域最新的市场发展趋势和需求,从差异化算力、高带宽低能耗存储、异构运算、多die实时互联、覆盖缺陷和良率测试、以及信息安全等角度讲述人工智能芯片设计过程中所面临的挑战及应对策略,为人工智能芯片开发者系统的介绍了一整套经过广泛应用的IP解决方案产品及特征。
本课程主要基于VCS最新版,介绍VCS在质量、性能以及容量方面的提高,最先进的高级仿真技术(提高性能),以及新一代全方位的Verdi/debug手段。
1:04:03
来自三星的资深工程师Raghavendra Swami Sadhu总结了在设计高性能全芯片SoC面临的挑战。他讨论了Fusion Compiler的高容量和由DFT支持的RTL-to-GDSII流程以及它是如何提升模块布局。
15:34
本课程将介绍用于超短距离的die-to-die数据传输连接的几种不同用例,以及在寻找用于die-to-die链接的高速PHY IP时要考虑的基本注意事项。
23:47
本课程主要介绍PCIe市场的发展趋势,及Synopsys PCIe DesignWare在不同应用领域的特性,重点介绍了针对最新PCIe Gen5,Synopsys提供的完整解决方案。
29:43
本课程系统介绍了新思科技物理实现工具-IC Compiler II,从图形界面、数据准备、自动布局布线流程和客户支持全面在线教学。
58:31
本课程将会针对CDC的上述基础问题,进行一 一介绍,并给出了CDC结构检查的问题类别和主要步骤。
29:23